-- -- author: Claudio Talarico -- file: counter-pack.vhd -- comment: package for counter -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package counter_pack is constant cnt_size_c : integer := 4; constant final_cnt_c : std_logic_vector(cnt_size_c-1 downto 0) := "1010"; constant reset_cnt_c : std_logic_vector(cnt_size_c-1 downto 0) := (others => '0'); end counter_pack;